VGA controller VHDL code